阅读 | 订阅
阅读 | 订阅
半导体/PCB

半导体制造行业深度报告:从um级制造到nm级制造

星之球科技 来源:未来智库2020-06-29 我要评论(0 )   

1、半导体制造:半导体产业链中的王者将半导体产业链分为上中下游。上游芯片制造封测支撑行业,主要是半导体设备和材料提供商,设备代表厂商有 ASML、应材、Lam,国内企...

1、半导体制造:半导体产业链中的王者

将半导体产业链分为上中下游。上游芯片制造封测支撑行业,主要是半导体设备和材料提供商,设备代表厂商有 ASML、应材、Lam,国内企业有北方华创、中微公司;材料代表厂商有信越化学、SUMCO、住友化学、陶氏化学,国内厂商有华特气体、安集科技等。中游半导体制造产业分为集成电路设计、制造、封测三个部分。IC 设计厂商有高通、AMD、英伟达、联发科,国内厂商有华为海思、卓胜微、圣邦股份、紫光国微等;IC 制造厂商有台积电、联电、格罗方德,国内厂商有中芯国际、华虹半导体;IC 封测厂商有日月光、矽品、AMKOR,国内厂商有长电科技、华天科技、通富微电、晶方科技等。涵盖 IC 设计、制造、封测三者的 IDM 厂商有 Intel、三星电子、索尼、TI,国内厂商有长江存储和士兰微。半导体下游终端应用领域有汽车电子、工业电子、通信、消费电子、PC 等领域。

在行业价值链中,半导体制造占有近一半的产值,毛利率也较高,但高价值伴随着高壁垒, 技术限制、高额的资本投入导致制造领域马太效应十分明显,龙头厂商市占率和毛利率均远高于其他厂家。

2. 半导体制造行业三大核心问题

半导体制造行业的关注点主要集中在三点上,这三个问题是半导体制造行业技术发展的强劲推动力,也是马太效应形成的根本原因:

半导体制程的发展晶圆的尺寸晶圆厂的产能我们将分别针对这三个问题进行深度剖析。

2.1. 半导体制程发展之路:摩尔定律还能走多远?

半导体制程工艺的发展,离不开摩尔定律。摩尔定律指出,当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18~24 个月便会增加一倍,性能也将提升一倍。随着晶体管尺寸的减少,相同单位面积中可以容纳更多的晶体管,相同大小的处理器可以获得更高的处理能力。且小的晶体管消耗的功率少,这减少了芯片的总功耗,产生的热量也随之降低, 因此可以进一步提高时钟速度。

在制程发展上,Intel、IBM、三星、GF 等按着 180nm -> 130nm -> 90nm -> 65nm -> 45nm-> 32nm -> 22nm 的步调前行(三星和 GF 在 32nm 后转向 28nm),而台积电等半导体晶圆代工厂则走上了 150nm->110nm->80nm->55nm->40nm->28nm->20nm 的路线。随着制程的发展,行业集中度提升,10nm 以下制程只有英特尔、三星、台积电三个公司。

存储芯片制程发展与逻辑芯片制程发展速度不太一致,但都受到摩尔定律的限制。DRAM 目前最先进工艺位于 18-15nm 之间,通常认为 10nm 是物理极限。从 2016 年开始,供应商开始采用 1xnm 节点制,其中供应商在路线图上拥有三种 DRAM 产品(1xnm,1ynm 和 1znm)。最初,将 1xnm 节点定义为具有 17nm 至 19nm 几何形状的 DRAM,1ynm 是 14nm 至 16nm,1znm 是 11nm 至 13nm。目前供应厂商的节点停留在 1xnm 状态(1xnm, 1ynm,1znm,新三代制程包括 1anm,1bnm,1cnm 都属于 1xnm 节点机制)。各大厂商正在积极寻找新的解决方案,继续扩展 DRAM 并提高性能,在未来的制程节点上可能会使用 EUV 光刻实现转变。SK Hynix 计划在 1anm 使用 EUV,该技术将于 2021 年面世。三星在 1znm 完成了对 DRAM 的 EUV 测试,但不会用于量产,可能会用于 1a 或 1b 的产品中。美光则计划将 193nm 浸没式光刻和 SADP 扩展到 1bnm。

NAND 闪存驱动器的容量取决于芯片中的单元数量。在 2D NAND 结构中,存储单元在单个管芯层中彼此相邻放置,以提高存储容量。单元尺寸从 120 nm 减小到 1x nm,使容量增加了 100 倍,且当前 15nm/14nm 已经达到了极限。2D NAND 技术存在两个局限,一是单元缩小是依靠光刻技术实现的,当光刻技术到达极限时,单元无法进一步缩小;二是当存储单元降至 20 nm 以下时,电荷从一个单元泄漏到另一个单元的机会大大增加,这种单元间干扰会导致数据损坏,从而严重损害闪存的可靠性。因此厂商们转向 3D NAND, 单元以垂直堆叠的方式来增加密度。3D 堆叠有单层堆栈和线堆栈两种。在最新的 128 层中,厂商大多使用两个 64 层进行堆叠,三星计划单层堆叠,在没有其他新突破的情况下,128 层是单层堆叠的极限。而线堆叠在 500 层也可能存在问题,因此还需要探索新的堆叠方法。

不管是逻辑芯片还是存储芯片,制程量级越低,技术难度越大,制作成本也越高。IBS 的数据显示:28nm 体硅器件的设计成本大致在 5130 万美元左右,而 7nm 芯片需要 2.98 亿, 5nm 则需要 5.42 亿美元,成本的增长速度越来越快。

半导体制程以 28nm 为界线,分为先进制程和成熟制程。从需求上看,先进制程需求在逐年增长,成熟制程需求较为稳定。

2.1.1. 成熟制程——以 28nm 为代表

28nm 是半导体制程里性价比最高、长周期属性明显的制程。一方面,相较于 40nm 及更早期制程,28nm 工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有明显优势。另一方面,由于 16nm/14nm 及更先进制程采用 FinFET 技术,维持高参数良率以及低缺陷密度难度加大,每个逻辑闸的成本都高于 28nm,从前面制程成本比较的图中也可以看出, 先进制程每一代成本都加速上升。随着成本不断上升,只有少数客户能够负担得起转向高级节点的费用。

28nm 工艺处于 32nm 和 22nm 之间,业界在 45nm 阶段引入了 high-k 值绝缘层/金属栅极(HKMG)工艺,在 32nm 处引入了第二代 high-k 绝缘层/金属栅工艺,这些为 28nm 的逐步成熟打下了基础。而在之后的先进工艺方面,从 22nm 开始采用 FinFET(鳍式场效应晶体管)等。28nm 正好处于制程过渡的关键点上,使其性价比高。

28nm 制程主要有 HKMG 工艺(金属栅极+高介电常数绝缘层 High-k 栅结构)和poly/SiON 工艺(多晶硅栅+氮氧化碳绝缘层的栅极结构)。与传统的 Poly/SiON 工艺相比, HKMG 技术可以有效的改善驱动能力,进而提高晶体管的性能,同时大幅降低低栅极漏电量。Poly/SiON 工艺的特点是成本低,工艺简单,适合对性能要求不高的手机和移动设备。HKMG 的优点是大幅减小漏电流,降低晶体管的关键尺寸从而提升性能,但是工艺相对复杂,成本与 Poly/SiON 工艺相比较高。

市场上提供 28nm 制程的主要厂商有台积电、联电、三星、GF、中芯国际、华虹半导体等。台积电于 2011 年开始导入 28nm 制程量产,并在 2012 年攻克了 28nm HKMG 制程,三星则是在 2012 年实现了 28nm 的量产,并于 2013 年导入了 28nm HKMG。UMC 在 2014 年实现量产 28nm 的 HKMG,目前公司放弃了 12nm 以下先进制程的研发。格芯在 2013 年量产,格芯的主要工艺是 FD-SOI。中芯国际在 2015 年开始导入 28nm 制程量产的, 并于 2018 年导入 HKMG,同年华虹宣布开始 28nm 制程量产。

目前,虽然高端市场被 7nm、10nm 以及 14nm/16nm 工艺占据,但 40nm、28nm 等并不会退出。 28nm~16nm 工艺现在仍然是台积电的营收主力,中芯国际则在持续提高28nm 良率。

在下游需求方面,IoT/穿戴装置与面板驱动 IC 需求看涨。一方面,IoT 芯片功能大多以数据收集为主,功能单纯且需维持长时间使用并兼顾低价高量,因此多半集中在 28nm 以上的节点制造。近年 IoT 与各项领域结合程度越来越高,5G 与 AI 的推动让 IoT 有了进一步的技术需求,也让客户评估制程技术转移的可能性。另一方面,受惠 OLED 面板在更多的终端应用产品上渗透率持续上升,以及国内 OLED 厂商产能陆续开出,OLED DDIC(面板驱动 IC)市场也将成为新一波 28nm 的成长动能;过去 OLED DDIC 以 40nm 制程为主,但为了满足日后需求量上升,在既有 40nm 产能已满载而 28nm 产能出现空缺的情况下,晶圆代工厂商也积极与客户合作制程转移,期望能达到填补 28nm 缺口并囊括更多订单。

2.1.2. 先进制程——得先进制程者得天下

半导体从成熟制程发展先进制程,必须要解决光刻、晶体管架构、沟道材料三个问题。

光刻

光刻是半导体制造过程中最难的一步。在半导体制作过程中,光刻设备会投射光束, 穿过印着图案的掩模及光学镜片,将线路图曝光在带有光感涂层的硅晶圆上;通过蚀刻曝光或未受曝光的部份来形成沟槽,然后再进行沉积、蚀刻、掺杂,架构出不同材质的线路; 此制程被一再重复, 就能将数以十亿计的 MOSFET 或其他晶体管,建构在硅晶圆上,形成一般所称的集成电路。光刻决定了半导体线路的精度,以及芯片功耗与性能,相关设备需要集成材料、光学、机电等领域最尖端的技术。

光源是光刻机核心之一,光刻机的工艺能力首先取决于其光源的波长。光源波长越短,激发出的更小尺寸的光子。曝光方式分为 Stepper 和 Scanner 两种。Stepper 一次性将整个区域进行曝光;Scanner 将镜头沿 Y 方向的一个细长空间曝光,硅片和掩模同时沿 X 方向移动经过曝光区动态完成整个区域的曝光。Scanner 曝光图像畸变小、一致性高,速度也更快,所以目前主流光刻机都是 Scanner。

下一代的工艺,就必须采用 EUV 光源的设备,三星 7nm 节点上已经采用了 EUV 设备。随着先进制程的进一步发展,EUV 光刻设备是必要设备。

目前 EUV 技术主要运用在逻辑工艺制程中。由于三星、台积电在 2019 年大规模量产 EUV 工艺,所以 2019 年是 ASML 的 EUV 光刻机大幅增长的一年。ASML 2019 年财报显示,全年共计出货 26 台 EUV 光刻机,营收 118.2 亿欧元,同比增长了 8,EUV 光刻机的营收占比从 2018 年的 23 提升到 31 。未来也会保持这个趋势,预计 2020 年交付 35 台 EUV 光刻机,2021 年则会达到 45 台到 50 台的交付量。ASML 还针对后续更为先进的 3 纳米、2 纳米制程的需求,开始规划新一代 EUV 光刻机 EXE:5000 系列。

晶体管架构

在半导体制程进化的过程中,um 级及高尺寸 nm 级采用的都是 MOS 结构,缩小尺寸就是缩小了栅极横向的宽度。随着制程要求的进一步提高,如果采用 MOS 结构,线宽需要继续缩小,这会造成源端和漏端短路,电路会因此失效,且饱和电流会过小。

为了解决这些问题,出现了 FinFET 技术和 FD-SOI 技术。

FinFET 是一种场效应晶体管,其具有一从基材突出的狭窄半导体材料有源区域,因此, 类似于鳍(fin)。此鳍包括源极区域与漏极区域。鳍的有源区域通过浅沟槽隔离(shallow trench isolat1n, STI)而被分隔,一般通过二氧化娃(S12)。鳍式场效应晶体管也包括一位于源极区域与漏极区域之间的栅极区域。栅极区域形成于鳍的上表面与侧壁,以包裹围绕鳍。在栅极下方延伸且介于源极区域与漏极区域之间的鳍的部分为沟道区域。

FD-SOI 技术是一种平面工艺,利用不同的起始衬底,利用现有的 CMOS 平面制造方法的性能并扩展其性能。FD-SOI 衬底具有一层超薄的绝缘体层掩埋氧化物,位于基底硅的顶部。晶体管通道由非常薄的硅薄膜组成。在设计上,FD-SOI 技术比传统的大体积 CMOS 具有更好的晶体管静电特性,并减少了器件泄漏。

SOI 技术不需要在 Fab 厂上进行大量投资,且具有良好的背栅偏置选项。通过在 BOX 下方创建后栅极区域,我们还可以控制电压,这使其适用于低功耗应用。但 SOI 技术很难控制晶片中的锡硅膜,故晶片的成本要高于块状硅晶片,且 SOI 晶圆的供应商数量比较少, 这将使总工艺成本增加约 10%。与 SOI 相比,FinFET 具有更高的驱动电流,应变技术可用于增加载流子迁移率,但 FinFET 制造工艺复杂。

在应用上,FinFET 主要应用于高性能、高集成度领域,比如 GPU 和 CPU 等高性能器件。

FD-SOI 在 IoT、5G、AI 和 ADAS/自动驾驶中在成本和性能方面显现出优势。

两种技术各有特点,未来在 40/28 纳米,14/10 纳米的 FD-SOI 和 FinFET 技术会共存一段相当长时间。最终发展到 7 纳米及以下时,SOI 也将从 2D 发展到 3D,即发展为 SOI FinFET 工艺。这表明 SOI 与 FinFET 技术可能殊途同归。

沟道材料

沟道是连接MOS 器件源和漏之间的一个导电区域,沟道材料影响电子在沟道中的迁移率。半导体制程向更先进的节点迈进时,需要找到合适的沟道材料,加快电子在沟道中的移动速度,提高器件的频率。锗及 III-V 族化合物具有这种特性,但是这些材料必须要具备与硅材料兼容的工艺,因此目前 SiGe 是比较好的选择。

随着这三个问题的解决,半导体制程向先进工艺发展。目前,台积电和三星均已有 7nm 工艺,英特尔为 10nm 工艺,但从晶体管密度上看,英特尔 10nm 技术可与三星和台积电的 7nm 技术媲美。

先进制程的优势在哪里?

从客户端来看,目前选择 7nm 工艺的客户已经超过 10 多家(AMD、苹果、Bitman、博通、海思、联发科、英伟达、高通、赛灵思、平头哥等),7nm EUV 至少有 5 家(AMD、苹果、海思、三星、高通),6nm 的客户则除了以上的 5 家之外,还多了博通和联发科,5nm 目前已确认将采用的客户则有 AMD、苹果、海思、三星和赛灵思。这些客户带来庞大体量的订单给代工厂带来高额营收,2019年,台积电作为唯一采用 7nm 工艺技术的纯晶圆代工厂,每片晶圆营收显著增加。

除了晶圆代工和逻辑芯片制造外,三星、美光、SK Hynix 和 Kioxia/WD 等存储器供应商也在使用先进的工艺制造 DRAM 和 flash 存储器组件。下游企业采用越来越先进的工艺, 未来先进制程会给制造厂商贡献更多的营收。

2.2. 晶圆尺寸

从晶圆发展历程来看,晶圆尺寸经历了 2 英寸、4 英寸、5 英寸、6 英寸、8 英寸和 12 英寸。晶圆的尺寸越大,在单片晶圆上制造芯片的数量就越多,单片芯片的分摊成本随之降低,并且晶圆片边缘的损失会减小,因此发展大尺寸晶圆片有利于降低成本,但同时对设备和工艺的要求也越高。在同样的工艺条件下,300mm 半导体晶圆的可使用面积超过200mm 晶圆的两倍以上,可使用率(衡量单位晶圆可生产的芯片数量的指标)是 200mm 硅片的 2.5 倍左右。图 19 为不同尺寸晶圆面积对比,资料来源于文章 Critical Dimension Sample Planning for 300 mm Wafer Fabs(作者:Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC Berkeley)。

晶圆的原材料就是硅片,晶圆尺寸、需求与硅片息息相关。全球半导体硅片市场最主流的产品规格为 300mm 和 200mm,300mm 硅片出货面积增长速度明显高于 200mm 和150mm。2018 年,300mm 硅片和 200mm 硅片市场份额分别为 63.83 %和 26.14% ,两种尺寸硅片合计占比接近 90%。

200mm 硅片的发展:

200mm 硅片的发展:2011 年开始,200mm 半导体硅片市场占有率稳定在 25-27%之间。2016 年至 2017 年,由于汽车电子、智能手机用指纹芯片、液晶显示器市场需求快速增长,200mm 硅片出货面积同比增长 14.68% 。2018 年,受益于汽车电子、工业电子、物联网等应用领域的强劲需求,以及功率器件、传感器等生产商将部分产能从 150mm 转移至200mm,带动 200mm 硅片继续保持增长。

300mm 硅片的发展:自 2000 年全球第一条 300mm 芯片制造生产线建成以来,300mm 半导体硅片市场需求增加,出货面积不断上升。2008 年,300mm 半导体硅片出货量首次超过 200mm 半导体硅片;2009 年,300mm 半导体硅片出货面积超过其他尺寸半导体硅片出货面积之和。2000 年至 2018 年,由于移动通信、计算机等终端市场持续快速发展,300mm 半导体硅片市场份额从 1.69 大幅提升至 63.83% ,成为半导体硅片市场最主流的产品。2016-2018 年,由于人工智能、区块链、云计算等新兴终端市场的蓬勃发展,300mm 半导体硅片出货面积年均复合增长率为 8.36 %。

制程工艺的进步对硅片面积要求更高。目前,90nm 及以下的制程主要使用 300mm 硅片, 90nm 以上的制程主要使用 200mm 或更小尺寸的硅片。随着半导体制程的不断缩小,芯片生产的工艺愈加复杂,生产成本不断提高,成本因素驱动硅片向着大尺寸的方向发展。因此未来几年,300mm 仍将是半导体硅片的主流品种。

下游产品中,大尺寸硅片能够生产更多类型的产品,且尺寸越大,高端芯片需求越高。不过近年来受到新兴市场的驱动,八寸晶圆也面临供不应求的局面。全球 8 英寸晶圆厂大多拥有成熟的制程,运营时间较长从而可以让固定成本和运营成本降低,适合多样化产品和特色工艺的开发。

2.3. 晶圆产能

自 2000 年以来,半导体产业靠着增加晶圆投片量来提高芯片出货量,利用制程微缩让每片晶圆切割出更多芯片的贡献并不多。从 2000~2019 年,每片晶圆切割出的良品芯片的年平均成长率仅 0.9%,但通过增加晶圆投片来增加的良品芯片的年平均成长率达 6.5%。总体来看,2000~2019 年全球每年新增加的芯片数量,有 86%来自晶圆投片量增加,只有 14%是来自制程微缩让每片晶圆切割出更多芯片。因此晶圆产能意味着潜在的销售量, 是影响制造厂商营收的一大因素。

从供需结构分析,供给端 2019Q4 硅片全球产能,200mm 已经回落至 500 万片/月,同2016 年周期启动时同一水准,300mm 接近 600 万片/月,落于景气高点水位之下,考虑到需求端芯片存在 1 高性能计算芯片/指纹识别 die 面积增大;2 新应用(5G/车联网/云计算)等所需硅含量提升,供需紧平衡已经出现。

根据制程节点划分,200mm 当量晶圆月产能如下所示。先进制程的发展是晶圆需求的强劲拉动力。

截至 2019 年 12 月,全球排名前五名晶圆每月的产能超过 100 万片晶圆(200mm 等效晶圆),产能合计占全球晶圆总产能的 53%。

2017 至 2020 年,全球芯片制造产能(折合成 200mm)预计将从 1985 万片/月增长至2407 万片/月,年均复合增长率 6.64 ;中国芯片制造产能从 276 万片/月增长至 460 万片/月,年均复合增长率 18.50 。近年来,随着中芯国际、华力微电子、长江存储、华虹宏力等中国大陆芯片制造企业的持续扩产,中国大陆芯片制造产能增速高于全球芯片产能增速。

从产能利用率方面看,晶圆厂平均产能利用率略有波动,但整体呈现上升趋势。

3. 半导体制造行业竞争逻辑

半导体制造行业具有人才、技术和资本密集的特点。

人才和技术密集:半导体制造行业是受研发和技术驱动的行业,对人才和技术极为看重。2018 年毕马威联合 SEMI 发布了一份问卷调查数据,受访者皆为全球半导体的行业高管,其中有 64%的人认为人才风险是三大运营风险之一。集成电路人才稀缺,《中国集成电路产业人才白皮书(2018-2019 年版)》预计中国 IC 制造行业人才 2021 年需求达到 24.6 万,比 2019 年多 10.2 万,因此半导体行业成为了国内引进人才最多的行业。中芯国际引进梁孟松,紫光集团旗下的长江存储,以及合肥长鑫的 DRAM 厂引进美光、SK 海力士等大厂的人才。在引进人才之后,中芯国际在 19 年底成功实现 14nm 量产,长江存储量产了 64 层堆栈 3D 闪存,合肥长鑫量产了 DDR4 内存。

在技术方面,在上文已经介绍过各大公司在制程方面的发展,以及先进制程对公司营收的贡献。从历史的发展看,当某家大厂可以量产最新先进制程时,公司的订单量会飙升,营收也会大涨。

资本密集:半导体制造厂商需要持续不断投入工艺制程和产品结构的研发,且半导体制造企业是重资产企业,需要资本的大力支持。自 1990 年代以来,半导体行业在研发强度方面一直领先于所有其他主要工业领域,每年用于研发的支出平均约占总销售额的 15%,近几年略有下降,是因为收入端增长更强劲。虽然半导体行业过去五年研发支出年度增长放缓,但是由于先进制程的发展对技术提出了更高的需求,包括 EUV 光刻技术、sub-3nm 工艺技术、3D 模具堆叠技术使未来五年研发支出会加速增长, 预计 2019-2024 年复合增长率为 4.4 %。

以晶圆代工厂为例,台积电和中芯国际研发支出略有波动,整体呈现上升趋势。联电在放弃 7nm 制程研发后,研发支出略有下降。

在资本支出方面,前五大公司(三星、英特尔、台积电、SK Hynix 和美光)资本支出总额占全球半导体行业资本支出的 68%,创历史新高。

单看纯晶圆代工厂,台积电、中芯国际、联电、格芯资本支出均在全球纯晶圆代工厂前列。台积电飞速上升,其中台积电 19 年资本支出同比增长 61.6 %。中芯国际在这两年略有下降,但相较 15 年前依旧处于较高水平。联电在放弃 7nm 制程的研发后,资本支出近两年有明显下降。格芯虽然放弃了 7nm 制程,但是转战 SOI 工艺,因此资本支出下降没有联电明显

综上,半导体制造行业发展靠的是人才、技术、资本三者结合。人才是企业的软实力, 为公司提供源源不断的研发智慧。半导体制造行业是重资产行业,先进制程的进步与先进设备有关,资本的投入为先进技术研发、广罗人才提供支持。技术发展是企业成为行业龙头的必要标准。反向看,技术发展会为公司开拓广阔市场,营收增长,实现资本积累,提高公司实力,产生规模效应,吸引人才流入。三者相互作用,使半导体龙头企业技术发展越来越快,资本积累越来越多,同样拥有专业技术的人才也越多, 马太效应由此形成

4. 制造行业长期成长逻辑/未来增量空间

半导体制造行业的发展是受到下游需求驱动的,因此对下游市场的发展进行分析能够理清制造行业的长期成长逻辑及未来的增量空间。

4.1. 长期成长逻辑

将应用分为两类,一类是受到摩尔定律主导的市场,主要包括智能手机、电脑和服务器的CPU 和存储芯片;一类是超越摩尔定律的应用领域,主要包括模拟芯片、传感器、功率芯片等领域。

受摩尔定律主导的市场

摩尔定律主导的市场是半导体市场的主战场,从市场增速来看,存储芯片、逻辑芯片增速依旧排在前列。

CPU 为了满足高性能计算、续航散热这些需求,对芯片制程需求越来越高,手机和电脑都是最先采用先进制程的领域。从性能上看,据 techcenturion 评测,目前手机 CPU 芯片性能最好的是苹果的 A13,采用的是台积电的 7nm 工艺。

目前性能最高的 PC CPU 芯片为 AMD 的锐龙 Thread Ripper 3990X,采用的也是台积电的7nm 工艺。

从手机和电脑的 CPU 芯片发展中可以看到抢占最先进制程的重要性。存储芯片的发展前面也已经提过了,市场被拥有先进制程的大厂商三星、海力士、美光等瓜分。

CPU 和存储器的长期动能来自手机、PC 和服务器的增长。目前手机、计算机等仍是半导体行业终端最大的应用市场。2018 年全球手机和基站、计算机用芯片销售额分别为 487 亿美元、280 亿美元,在半导体终端市场的占比分别为 36%、21% 。智能手机和计算机市场是摩尔定律前进的推动力。

智能手机的成长动力主要源自 5G 的出现。2020 年是 5G 开始兴起的一年,IDC 预计 2020 年将出货 1.9 亿部 5G 智能手机,占智能手机总出货量的 14%,预计到 2023 年,这一数字将增长到全球智能手机出货量的 28.1%。

2019 年全球 PC 出货量同比增长 2.7%,这是自 2011 年市场增长 1.7%以来,PC 首次实现全年增长,这也是 PC 市场进入回暖的明显迹象。尽管市场面临挑战,PC 市场一直被看衰, 但是可以看到 PC 需求仍然一直存在。从 PC 的设计上看,全面屏和轻薄化是趋势,性能、续航、散热需求也逐渐增加,这些需求对电脑 CPU 的要求更高。

数据的高速增长带动了数据中心业务急速增长。MarketsandMarkets 估计,到 2024 年,全球数据中心机架服务器市场将从 2019 年的 521 亿美元增长到 1025 亿美元,在预测期内复合年增长率(CAGR)为 14.5 %。推动市场增长的因素是对可扩展数据中心和高密度计算的需求。此外,边缘计算、物联网和云计算等新兴技术的进步有望为数据中心机架式服务器供应商创造充足的机会。

从逻辑芯片的营收变化看,逻辑芯片营收占比一直处于 25 左右,多年占比稳定,据 WSTS估计,19 年营收 1046 亿美元,较 18 年有下降,但是占比提升,因此营收主要受半导体整体行业景气度的影响,而 20 年营收会有所上升,占比也有提升。

在半导体各类芯片中,存储芯片在营收中占比最大。存储芯片市场 2018 年营收 1579.67亿美元,占比 33.70% ,在整个半导体市场的份额已超过 1/3。根据 WSTS 19 年 11 月公布的预测,2019 年占比有所下降,约为 25.89 %,但仍然是占比最大的板块。从增长率看, NAND 产能和价格的增长会高于 DRAM。

长远来看,逻辑芯片和存储芯片的增量市场来自于 5G、云计算、AI 和物联网,数据种类、数量高速的增长,对处理器的要求越来越高,对存储的需求也在增大,因此摩尔定律的主导市场发展空间大,利润率高,依旧是各大厂商竞相追逐的领域。

对于半导体制造厂商而言,目前 CPU 和存储领域是制造龙头蚕食的区域,拥有先进制程的制造公司才有能力加入这个市场。且手机、PC 经过多年的发展基本上属于稳定的存量市场,因此为了争夺市场份额,各大厂商在制程上追逐。除此之外,制造厂商迅速布局 5G、云计算、AI 和物联网提供的新的增量市场。由于先进制程研发的稳步推进,台积电一直是苹果的代工,而高通的订单则一直被三星和台积电争夺,技术是设计厂商选择代工的影响因素,因此想要在摩尔定律主导的市场获取市场份额,持续实现成长,制造厂商第一要务是推动自身先进制程的发展。

超越摩尔定律应用领域

摩尔定律的推进降低了 CPU、存储、逻辑芯片的成本,但是不能给模拟芯片、传感器芯片、射频芯片等带来理想的成本效益。RF、电源管理、MEMS、CMOS 传感器等芯片需要更专业化,需要通过集成增加更多功能。虽然这些专用芯片的制造商仍然关注尺寸、速度和功率,但是不一定需要在最先进的节点上进行功能集成,它们需要综合考虑性能、集成度和成本。这类应用统称为超越摩尔定律的应用领域。摩尔制程主导的领域追求的是制程的发展,而超越摩尔定律的应用领域朝着多样化发展。

物联网应用就是一个很好的例子。典型的物联网设备可能包括具有模拟接口的传感器、用于编码和数据存储的存储器、用于数据通信的射频功能、用于控制设备和处理数据的处理器,另外可能还有电池和电池接口。大多数情况下,这些设备可能处于休眠模式,所以超低漏电是一个关键要求。然而,一旦被信号唤醒,设备必须立即切换到高性能模式,以便在存储器中获取或存储数据,处理数据,然后传输或接收数据。7nm 逻辑芯片在处理这些不同功能方面没有任何实际优势。还有云计算和数据中心的人工智能推理/训练应用与芯片制程关系不大,其最大的问题是功耗。

根据 Yole 统计,2017 年超越摩尔的应用领域对晶圆需求为 4500 万片(8 英寸当量),预计到 2023 年需求会增长到 6600 万片,CAGR 10 。

1. 电源管理芯片

Yole 预测电源 IC 将受益于多个主要终端市场的扩张而在 2016~2022 年期间获得 3.6%的复合年增长率,与半导体整体产业的增长趋势保持一致。2016 年,电源 IC 市场的规模预计为 145 亿美元,到 2022 年预计将增长至 180 亿美元。

电源管理芯片在电子产品市场举足轻重,几乎所有的电子产品和设备都需要电源管理芯片。通信是最主要的电源管理芯片市场,主要包括智能手机市场和通信基站市场,而这两部分 市场都受益于 5G 的发展,智能手机出货量及单部手机电源管理芯片数量或有增长,5G 基站建设量大幅增长,单个基站通道数增加,这些都让通信市场成为当下有潜力的增量市场。汽车电源管理芯片受益于新能源汽车的驱动;消费电子市场受到物联网发展的驱动,下游 应用持续分散化,不同应用对电源管理的要求也不同,TWS 耳机的发展是目前电源管理芯片最明显的消费电子增长点,由于 TWS 耳机体积小需要集成度更高的电源管理芯片,且其充电盒也拉动了电源管理芯片的需求。随着工业从规模化走向自动化、智能化,工业与信息化的深度融合、智能制造转型升级将带动工业电子电源管理芯片需求的增长。

2. 传感器芯片

传感器领域,手机摄像头的需求带动 CMOS 图像传感器增长迅速;自动驾驶技术的快速发展,增加了对图像传感器、MEMS 传感器、激光雷达、超声波传感器多种类型传感器的需求。VR/AR 带动 MEMS 传感器需求增长。

电源管理芯片、传感器芯片等对芯片制程要求没有那么苛刻,因此除了龙头厂商外,其他半导体制造厂商也能分一杯羹,格芯、联电、中芯国际、华虹半导体等在这些细分领域都能拥有一定市场。之前格芯和联电都宣布了放弃研发 7nm 工艺,把更多的精力放在了专用芯片的制造商。因此,各大厂商如果在制程竞争上没有优势,可以抓住这类市场的机会, 进行专用芯片的研发。

4.2. 近年来的主线,5G、IoT、车用半导体、AI 提供大增量(略)

前面介绍制造厂商的两个市场时,提到 5G、IoT、车用半导体、AI 等新兴领域给这些市场注入了新的发展动力,这也是近年来半导体领域应用的主线。

5. 中国半导体制造业的机会在哪里?

目前,中国半导体行业还处在初期发展阶段,国内企业长期研发投入和积累不足,使我国半导体行业在国际分工中多处于中低端领域,高端产品市场被欧美日韩台等少数国际大公司垄断。我国半导体产业亟待解决的两个问题是:供需失衡和结构失衡。

供需失衡

中国是全球最大的半导体消费市场,也是全球工业制造中心,人口基数决定了半导体终端产品的消耗量。尽管中国半导体产业销售规模持续扩张,但本地制造商只能满足该国约 30%的需求,因此只能长期依赖于进口。根据中国半导体行业协会统计,2018 年,中国集成电路进口金额达 3120.6 亿美元。中国半导体产业国产化进程严重滞后于国内快速增长的市场需求,中国半导体供需失衡严重,国内企业进口替代空间非常可观。

结构失衡

国内半导体行业结构失衡。大多数半导体公司都是定位于中低端市场的中小半导体设计公司,大规模、技术壁垒高的制造公司比较少。从国内半导体设计制造封测销售额看,半导体制造销售量在三者一直是最低者,12 年以来制造占比虽然有所提高,但依旧在 30 以下。

当前,中国半导体产业正处于产业升级的关键阶段,掌握核心技术是中国半导体产业现阶段最重要的目标,国内半导体制造公司崛起迎来机遇。

1.摩尔定律放缓为国内制造企业提供机会

上文我们介绍过摩尔定律的发展,先进制程工艺由于需要大量的工艺研发和资本投入,能负担大额成本投入的晶圆厂越来越少,摩尔定律放缓。除了英特尔、台积电、三星以外,联电和格芯都宣布了放弃对 7nm 制程的研发。这给国内制造企业提供了赶超的机会,目前中芯国际正在研发 N+1 代制程,华虹半导体也在追赶 14nm 制程,以长江存储、合肥长鑫等企业深耕存储领域。

2. 产业链转移,下游应用细分化也是国内半导体制造企业的一大机会

伴随着下游 PC、智能手机市场的逐渐成熟和饱和,半导体行业的系统性创业机会也从 PC、智能手机、服务器三大集中性市场往物联网、下一代智能终端等碎片化、新兴化市场转移,物联网、新能源汽车等新兴市场带来向上重构供应链的系统性机会。在应用场景方面,采用先进工艺的芯片种类主要是基带、CPU、存储等大型逻辑芯片,主要用于手机和笔记本电脑,而其他低功耗领域需要一些专用芯片。对于中低端 MCU、电源管理芯片等技术壁垒不高的细分市场,芯片专用化、性价比是重点。以功率半导体为代表的模拟细分市场中,传统汽车电子、工业级芯片等市场对品控、功耗、性价比的要求很高。因此在这些市场,国内企业可以找到突破口,积极布局渗透市场。例如,三安光电在第三代半导体材料 GaN崛起中受益,耐威科技在 MEMS 传感器研发中持续发展。

3. 终端品牌效应

终端品牌的国产化给上游供应链带来发展机会,终端需求向上传导可以带动整个供应链的国产化。例如,“苹果产业链”带动了台积电、环旭电子和长电科技等企业的发展。目前,5G 带动了“华为产业链”的发展,进入华为产业链的中芯国际也将在产业链的影响下也会有所收益。

4. 政策扶持

根据“中国制造 2025”重点领域技术路线图对 IC 制造产业的规划,国产半导体制造产业的发展将围绕产能扩充与先进制程同步推进。国家集成电路大基金的投资扶持了半导体制造企业的发展。

6. 半导体制造厂商(略,详见报告原文)

半导体制造厂商主要有台积电、三星、英特尔、联电、格芯、中芯国际和华虹半导体。

……


转载请注明出处。

半导体半导体产业链激光激光技术
免责声明

① 凡本网未注明其他出处的作品,版权均属于激光制造网,未经本网授权不得转载、摘编或利用其它方式使用。获本网授权使用作品的,应在授权范围内使 用,并注明"来源:激光制造网”。违反上述声明者,本网将追究其相关责任。
② 凡本网注明其他来源的作品及图片,均转载自其它媒体,转载目的在于传递更多信息,并不代表本媒赞同其观点和对其真实性负责,版权归原作者所有,如有侵权请联系我们删除。
③ 任何单位或个人认为本网内容可能涉嫌侵犯其合法权益,请及时向本网提出书面权利通知,并提供身份证明、权属证明、具体链接(URL)及详细侵权情况证明。本网在收到上述法律文件后,将会依法尽快移除相关涉嫌侵权的内容。

网友点评
0相关评论
精彩导读